1. 首页>新闻 > 国内新闻

新时代证券中小盘【伐谋主题新经济气力】半导

作者:高俊 2018年04月12日 国内新闻

新时代证券中小盘【伐谋主题新经济力气】半导体系列二(设备):星星之火,燎原之势渐起

2018-04-11 15:37 来源:金钜策股

原标题:新时代证券中小盘【伐谋主题新经济力气】半导体系列二(设备):星星之火,燎原之势渐起

新时代证券中小盘:孙金钜、吴吉森

摘要

国际半导体迎来新投资周期,半导体设备市场继续向好:以后我国集成电路产品对外依存度较高,国产芯片自主创新与出口替代势在必行。政府在政策、资金、税收等各方面给予鼎力支持,中国集成电路行业正在迎来新一轮的投资周期。依据Semi数据,估计2017年到2020年时期,中国大陆将有26座新晶圆厂投产,成为全球新建晶圆厂最积极的地域,整个投资方案占全球新建晶圆厂高达42%,成为全球新建投资最大的地域。依据我们统计数据显示,2017-2020年中国曾经发布的半导体产线投资金额将超越1000亿美元,依照行业规律,在总投资中设备投资占80%,可以预算出晶圆制造设备投资额为800亿美元。在晶圆厂设备构成中,光刻机占比最大,占39%份额,市场空间为312美元;其次是堆积设备,占比为24%,市场空间为192亿美元;刻蚀设备第三,占比为14%,市场空间为112亿美元;资料制备设备占比8%,市场空间为64亿美元。

全球半导体设备处于寡头垄断格式,国际半导体设备是产业单薄环节:半导体设备是半导体产业价值链顶端的“皇冠”,是半导体产业开展的根底。以后国际半导体设备产业处于寡头垄断格式,美国、日本、荷兰是半导体设备最具竞争力的3个国度。全体而言,中国半导体设备虽然具有了一定的根底,但是技术实力与国外相比依然存在较大的差距,我国企业半导体设备环节十分单薄,即便在绝对开展程度较高的IC封装测试范畴,我国与国际先进程度相比依然存在较大差距。

半导体设备国产化是趋向,星星之火燎原之势渐起:在一切设备中,最中心、技术壁垒最高的是光刻机,国际在光刻机方面技术最先进的是上海微电子,曾经研制成功90nm光刻机;在刻蚀设备、堆积设备方面,国际企业中微半导体、南方华创具有较强的竞争力,中微以介质刻蚀机为打破口,目前台积电、联电都曾经成为公司的客户,南方华创曾经承当了国度02专项的诸多研发项目,尤其是关于12英寸晶圆制造的刻蚀机、PVD、立式氧化炉、清洗机、LPCVD等设备,曾经批量进入了国际主流集成电路消费线;在长晶炉方面,国际企业晶盛机电具有较强的竞争力,公司是国际首家独一自主研制成功全套单晶炉的供给商;在测试设备方面,国际企业长川科技在测试机和分选机方面具有一定的竞争力,已取得长电科技、华天科技、通富微电、日月光等多个一流集成电路企业的运用和认可,此外,精测电子携手IT&T切入半导体检测范畴,将来无望在半导体检测范畴翻开新场面。我们以为国际局部半导体设备企业在各自细分范畴曾经具有了一定的竞争力,半导体设备国产化是大势所趋,星星之火,必成燎原之势。

受害标的:精测电子、南方华创、长川科技、晶盛机电

风险提示:国际半导体产线投资力度和进度不及预期;国际半导体设备研发进度不及预期。

1

国际半导体迎来新投资周期,半导体设备市场继续向好

1.1、 中国IC市场是全球第一大市场

中国IC市场疾速增长。自2000年以来,全球半导体市场稳步增长,其中,中国半导体市场增长尤为明显,在世界市场上的份额也日益进步。依据中国半导体行业察看数据,2016年全球半导体市场总额高达3530亿美元,到2020年到达4340亿美元,年复合增长率为5.3%,其中,中国半导体市场总额从2000年170亿美元,添加到2016我国这片创新热土正在发生一场全面而深刻的产业结构变革。年的1600亿美元,年复合增长率45.30%,估计到2020年到达2020亿美元,4年复合增长率为6%。全体而言,中国半导体市场疾速增长,占全球比例无望不时进步。

中国IC市场占据全球近半壁江山:按地域市场份额来看,依据半导体行业察看统计数据,2000年全球半导体按地域市场占比最大的地域是美国,占比28%,其次为亚太地域(除中国),占比25%,中国占比仅为7%;2010年,中国成为全球半导体按地域市场占比最大的地域,占比33%,其次为亚太地域(除中国),占比26%,美国市场份额下降到15%;2016年,中国占全球半导市场份额进一步加大,占比提升至45%,其次为亚太地域(除中国),占比下降至19%,美国市场份额进一步下降到13%;估计到2020年,中国占全球半导市场份额将进一步提升至47%,其次为亚太地域(除中国),占比下降至17%,美国市场份额有所提升,占比为14%。

1.2、 半导体设备品种单一、市场宽广,国产替代空间宏大

#p#分页标题#e#

全球半导体资本收入继续增长。依据Gartner统计数据,2016年全球半导体资本收入同比增长5.1%,到达679.9亿美元,估计2017年全球半导体资本收入到达699.4亿美元,同比增长2.9%;到2020年全球资本收入无望到达758亿美元,2015-2020年年复合增长率为2.8%。

2017年全球半导体设备市场创历史新高。依据Semi发布的年终预测数据,2017年全球半导体制造设备销售额将增长35.6%,到达559亿美元,初次超越了2000年市场高点477亿美元。估计2018年全球半导体设备市场的销售额将增长7.5%,到达601亿美元。

2017年,韩国将初次成为最大的设备市场。依据Semi预测数据,韩国将超越延续五年排名榜首的中国台湾地域排名第一,中国台湾地域第二,中国大陆第三。除了世界其他地域(次要是西北亚),一切地域都有增长。韩国将以132.6%的速度增长,其次是欧洲的增长率57.2%,日本的增长率为29.9%。

2018年中国半导体设备市场无望超越中国台湾地域成为全球第二大市场。依据Semi预测数据,2018年中国的设备销售增长率将最高,为49.3%,到达113亿美元,2017年的增长率为17.5%, 2018年,韩国、中国和中国台湾地域地域估计将坚持前三的市场排名,韩国将以169亿美元坚持在榜首。估计中国将以113亿美元成为世界第二大市场,而中国台湾地域地域的设备销售额将接近113亿美元。

半导体各设备品种市场空间占比。在整个半导体设备市场中,晶圆制造设备大约占全体的80%,封装及组装设备大约占7%,测试设备大约占9%,其他设备大约占4%。依据Semi预测数据,2017年晶圆加工设备将添加37.5%,到达450亿美元;封装设备局部将增长25.8%,至38亿美元,而半导体测试设备估计往年将增长22%,到达45亿美元;其他前端设备(其他前端包括掩模/掩模版制造,晶片制造和晶圆厂设备),估计将添加45.8%至26亿美元。

由于国际多条消费线处于建立进程中,2016年中国集成电路设备市场同比增长32%,到达64.6亿美元。全体而言,我国集成电路设备产业规模体量还绝对较小,尤其是一些关键技术设备仍未攻克,还处于开展阶段。目前,我国的集成电路设备大都依赖出口。

国产替代空间宏大。我们以为在新一轮集成电路制造业向中国大陆转移进程中,将来几年将是中国半导体设备产业的开展机遇期。目前半导体设备产业次要集中在美国、日本等多数国度,集成电路设备国产替代空间宏大,国际半导体设备厂家具有很大的上升空间。

1.3、 国际半导体迎来新投资周期,中国半导体设备行业继续向好

国际集成电路行业迎来新投资周期。中国是全球电子产品制造大国和消费大国,对集成电路产品需求很大,以后我国集成电路产品对外依存度较高,国产芯片自主创新与出口替代势在必行。随着国际对集成电路产品的不时增长以及国产芯片替代出口战略的不时推进,加之,中国政府在政策、资金、税收等各方面的鼎力支持,我国集成电路行业将迎来新一轮的投资周期。

中国将成为集成电路新增投资最大的区域。依据Semi调查数据,预估2017年到2020年时期,中国大陆将有26座新晶圆厂投产,成为全球新建晶圆厂最积极的地域,整个投资方案占全球新建晶圆厂高达42%,成为全球新建投资最大的地域。包括外资和存储器在内,目前中国12英寸晶圆厂共有22座,其中在建11座,规划中1座;8英寸晶圆厂18座,其中在建5座。

#p#分页标题#e#

国际集成电路公用设备投资数据测算。我们对国际曾经发布的半导体产线投资方案做了详细的梳理,曾经发布的半导体产线投资金额将超越1000亿美元。依照行业规律,在总投资中80%用于设备投资,从而可计算出设备投资额为800亿美元。这些产线要在2020年之前投产,依据我们测算的数据,2017-2019三年的国际晶圆厂设备投资额为800亿美元。

晶圆厂各类设备市场空间测算。在晶圆厂设备构成中,光刻机占比最大,占39%份额,其次是堆积设备,占比为24%,刻蚀设备第三,占比为14%,资料制备占比8%,外表处置设备和装置设备辨别占比2%,其他设备占比11%。据此我们可以计算出,2017-2019年国际集成电路光刻设备市场空间为312亿美元,堆积设备市场空间为192亿美元,刻蚀设备市场空间为112亿美元,资料制备设备市场空间为64亿美元。

2

中国VS日本:国际半导体设备行业差距依然分明

2.1、 日本半导体设备企业技术抢先,市场份额高

全球半导体设备制造业处于寡头垄断格式。半导体消费设备是半导体产业开展的根底,也是半导体产业价值链顶端的“皇冠”。以后的国际半导体设备产业处于寡头垄断格式,美国、日本、荷兰是半导体设备最具竞争力的3个国度。从半导体设备细分范畴来看,日本企业在具有十分强的竞争力,市场份额超越50%的半导体设备品种日本就有10种之多。

日本企业垄断半导体设备技术与市场,占全球半导体设备总体市场份额高达37%。在电子束描画设备、涂布/显影设备、清洗设备、氧化炉、减压CVD设备等重要前端设备、以划片机为代表的重要后道封装设备和以探针器为代表的重要测试设备环节,日本企业处于垄断位置,竞争力十分强。

2.2、 半导体下游设备国产率低,差距依然较大

下游设备是中国半导体产业的单薄环节。半导体工艺流程次要分为前道工艺和后道工艺流程,关键设备根本由美国、日本企业所垄断。全体而言,中国半导体设备虽然具有了一定的根底,但是技术实力与国外相比依然存在较大的差距,我国企业半导体设备环节十分单薄,即便在绝对开展程度较高的IC封装测试范畴,我国与先进国际程度相比依然存在较大差距。尤其是单晶炉、氧化炉、CVD设备、磁控溅射镀膜设备、CMP设备、光刻机、涂布/显影设备、ICP等离子体刻蚀零碎、探针台等设备市场简直被国外企业所占据。

半导体设备制造业门槛高,国际企业与国际知名半导体设备制造企业实力相差悬殊。日本企业在晶圆清洗设备、切割机、研磨机、晶圆检测设备、单晶炉、CVD设备、涂布显影设备、光刻机、刻蚀设备、IC测试设备等产品中具有国际竞争优势;美国企业在单晶炉、气相内涵炉、分子束内涵零碎、氧化炉、CVD设备、磁控溅射镀膜设备、CMP抛光机、ICP等离子体刻蚀零碎(ICP)、刻蚀设备、离子注入机、IC封装设备等产品中具有国际竞争优势;荷兰企业ASML阿斯麦在高端光刻机、内涵反响器等产品中具有国际竞争优势。相比之下,国际企业仅在PECVD、氧化炉等产品中获得技术打破,在其他半导体设备制造范畴的国产率极低,尚不具有自主研发并投入于工业消费的才能。

中国集成电路设备产业自给率偏低。受害于02专项多年的支持和国度大基金的牵引,国际在集成电路制造的投入不时加大,设备制造才能有所改善。依据中国电子公用设备工业协会统计数据,2015年,国产集成电路设备产业销售支出达47.17亿元,同比增长16.4%,次要来自薄膜制造设备、刻蚀设备、离子注入设备以及封装设备的增长。思索到2015年中国集成电路市场规模为49亿美元,我们可以计算出2015年中国集成电路设备产业自给率在15%左右。依据Semi预测数据,2017和2018年中国集成电路市场规模将辨别到达75.9亿美元和113.3亿美元,中国集成电路设备产业自给率将会更低。

#p#分页标题#e#

全球半导体设备制造业处于寡头垄断竞争格式,中国企业无一席之地。依据Semi相关统计数据,2014年全球半导体设备销售额为374.98亿美元,前十大销售商的市占率之和高达93.6%,其中日本和美国企业占主导,辨别在前十销售商中占据五席和四席。美国使用资料公司以79.4亿美元的销售额和21.2%的市占率居首位;荷兰阿斯麦公司紧随其后,销售额高达75.5亿美元,市占率20.1%;日本东京电子公司销售额为55.4亿美元,市占率14.8%,位居第三。

3

半导体下游设备:路漫漫其修远兮,国产化任重道远

3.1、 单晶硅片制造设备:单晶硅片需求大,制造设备工艺需鼎力提升

单晶炉在硅片制造进程中发扬着最为重要的作用,其中拉晶进程次要在单晶炉内停止,单晶炉可提供惰性气体(氮气、氦气为主)的制备环境,经过石墨加热器将多晶硅等多晶资料熔化,用直拉法、垂直提拉法等多种办法生长单晶体。国际上次要的单晶炉制造商包括德国的PVA TePla AG和Gero公司、日本的Ferrotec公司、美国的QUANTUM DESIGN、KAYEX和GT Advanced Technologies公司。国际以晶盛机电、天龙光电、北京京运通、七星电子为代表的单晶炉消费商曾经到达国际先进程度,其中晶盛机电已成功研制出具有完全自主知识产权的全自动单晶炉,可消费12-6英寸/18英寸的单晶硅片,并且完成量产。

PVA TEPLA AG(TPE.F):Pva Tepla AG是德国的一家专门为低温和等离子体处置进程提供相关工业化工艺和设备的公司,在晶体生长设备环节,公司是世界上独一能提供一切硅晶体生长方式的消费零碎供给商。

Pva Tepla AG公司的CGS EKZ SO 2700是运用柴式晶体生长法(Czochralski)消费单晶硅的设备,可完成8英寸硅晶圆的批量消费;EKZ 300/450拉晶设备高达14米,为Siltronic AG消费提供12英寸硅圆片。Kronos是垂直布里奇曼法(Vertical Gradient Freeze Method)的拉晶设备;SR 110设备十分高效,可同时停止两个单晶硅棒的消费,一组源棒可以产出 12 到 50 个单晶硅棒不等。

Ferrotec(6890.T):Ferrotec公司次要消费6英寸以下的小口径半导体硅片的单晶拉晶炉,并对晶圆停止加工,为双极集成电路、别离式半导体、MEMS的提供单晶硅片。公司同时研发作产低温熔解原料的石墨加热器,以及作为容器的单晶用石英坩埚,单晶组全体件已达成世界级的高转换效率。

晶盛机电(300316.SZ):晶盛机电公司是国际技术抢先的晶体硅生长设备供给商,拥有自主品牌的晶体硅生长设备及其控制零碎的研发、制造和销售零碎。公司是国际首家独一自主研制成功全套单晶炉的供给商,独一具有提供三项设备的供给商,具有完全自主知识产权,制定了半导体行业规范。

晶盛机电公司研发作产的区熔硅单晶炉FZ100A-ZJS的硅晶圆片制程为6~10英寸,被选入“8英寸区熔硅单晶炉国产设备研制”课题,被评选为国度严重科技专项之一。公司的全自动晶体生长炉TDR130A-ZJS可消费大直径为12~18英寸的单晶硅棒,并完成工业化量产。

#p#分页标题#e#

切割机:切割机次要用于单晶硅棒的切割,构成薄单晶硅片。日本的Disco Corporation公司消费全自动和激光切割机,并设计开发激光切割SiC晶圆的新工艺“KABRA”,中国台湾地域的宜特科技公司也消费全自动晶圆切割机。国际的天龙光电公司也拥有消费切割机的自主知识产权,其HQP225数控多线切片机适用于单晶硅与多晶硅的切片加工,合适大批量消费。

研磨机:经过研磨能除去切片和轮磨所造的锯痕及外表损伤层,无效改善单晶硅片的曲度、平整度与平行度,到达一个抛光进程可以处置的规格。国际次要的硅片研磨机制造商包括日本的Disco Corporation和OKAMOTO冈本机械

抛光机:经过机械研磨和化学液体溶解“腐蚀”的综协作用,对被研磨体(半导体)停止研磨抛光。国际次要的抛光机制造商包括美国的使用资料、诺发零碎和Rtec公司。国际以兰州兰新和爱立特微电子公司为代表的抛光机、研磨机、倒角机、切割机等设备消费制造商,已构成与国外同类设备抗衡的才能,在国际外都处于抢先位置。兰州兰新公司在双面四动精密研磨设备上已构成了“S”和“B”系列为主体的14个机型的消费配套才能,开发了一系列单面抛光及减薄设备、双面抛光设备、四动双面抛光设备等。

清洗设备:清洗设备在单晶硅片加工进程的每个环节简直都会运用,此处的清洗设备在抛光后的最终清洗阶段运用,以肃清晶片外表一切的净化源。国际次要的清洗设备供给商包括美国的Valtech公司、日本的DNS迪恩士和大日本网屏公司。国际南方华创企业依托02专利,其研发作产的12英寸半导体清洗机累计流片量已打破60万片大关。

3.2、 前道设备:晶圆制造关键之所在,国际设备厂商部分有一定竞争力

光刻机:光刻机是芯片制造的中心设备之一,依照用处可以分为好几种:有用于消费芯片的光刻机;有用于封装的光刻机;还有用于LED制造范畴的投影光刻机。用于消费芯片的光刻机是中国在半导体设备制造上最大的短板,国际晶圆厂所需的高端光刻机完全依赖出口。

光刻机经过在半导体基材上(硅片)外表匀胶,将掩模版上的图形转移光刻胶上,把器件或电路构造暂时“复制”到硅片上。国际次要的光刻机供给商包括荷兰的阿斯麦ASML公司、日本的尼康和佳能公司。

光刻机是集成电路消费制造进程中最重要的设备,国际晶圆厂所需求的光刻机全部依赖出口,国际光刻机在技术制程上与国外先进程度存在宏大鸿沟。国际光刻机龙头企业上海微电子最先进的SSA600/20步进扫描光刻机,只能满足前道IC制造90nm关键层和非关键层的制造需求,完全不能与阿斯麦光刻机7nm和5nm的最小制程可比。由于遭到《瓦森纳协议》的限制,即《关于惯例武器和两用物品及技术出口控制的瓦森纳布置》,国际晶圆厂只能购置阿斯麦中低端光刻机,这对国际光刻机和集成电路研发制造产业形成十分不利的影响。

阿斯麦(ASML.O):阿斯麦公司荷兰的一家世界先进的半导体设备制造供给商,消费提供前后道设备,包括光刻机、集束型设备、内涵反响器、垂直分散炉、PECVD反响器、原子层堆积设备、等离子体加强原子层堆积(PEALD)设备等。

阿斯麦是国际上最先进的高端半导体光刻机制造商。目前,阿斯麦占据了光刻机市场80%份额,垄断了高端光刻机市场。全球只要阿斯麦可以消费EUV光刻机,尼康和佳能也无法与之匹敌。Intel、台积电、三星用来加工14/16nm芯片的光刻机都是买自ASML,格罗方德、联电以及中芯国际等晶圆厂的光刻机次要也是来自ASML。阿斯麦最新的EUV NXE 3400B极紫外光刻机能支持7nm和5 nm芯片的批量消费,运用13.5nm EUV光源,光学零碎的数值孔径(NA)为0.33,分辨率为13nm,而尼康最新的ArF Immersion NSR-S631E浸入式光刻机落后EUV极紫外光刻机整整一代,运用139nm波长的ArF准分子激光,NA为1.35,分辨率小于等于38nm。从销售单价上看,阿斯麦EUV NXE系列 3400B和3350B销售单价超越1亿美元, ArF Immersion售价大约在7000万美元左右,而尼康光刻机的单价只要阿斯麦光刻机价钱的三分之一。

涂布显影设备:涂布机针对晶圆外表的光阻,采用旋转离心(spin-coating)的方式涂布,为后续的曝光显影任务做预备。显影机提供封锁的显影任务腔,感光局部的光刻胶在任务腔内溶除,留下未感光的局部的胶膜,从而显示光刻之后所需求的图形,该设备同时也能完成显影任务腔的自动清洗。国际次要的涂布/显影设备制造商包括日本的迪恩士、信越化工和东京电子公司,美国的Solid State Equipment Corporation公司。

#p#分页标题#e#

离子注入机:由于晶圆衬底是单晶硅,单晶硅自身不具有导电性或导电性极弱,因而离子注入机(Ion Beam Implanting)对晶圆外表区域掺入微量的不纯物质(砷、硼、磷等),以改动硅晶圆片的导电性与载流子浓度。国际次要的离子注入机制造商包括美国的Virian Semiconductor Equipment维利安半导体设备和使用资料公司。以中国电子科技集团第四十八所为代表的国际半导体供给商已研发作产出适用于不同需求的离子注入机,拥有自主知识产权中心技术,掩盖大局部金属离子注入,工艺温度范围大、多工位、牢靠性高,国际离子注入机与国际先进程度已相差无几。

刻蚀设备:经过运用物理的、化学的或同时运用物理的和化学的办法,有选择地把没有被抗蚀剂掩蔽的那一局部薄膜层除去,从而在薄膜上失掉和抗蚀剂膜上完全分歧的图形。刻蚀可分为湿法刻蚀和干法刻蚀,后者更能满足芯片制造工艺的要求,目前被普遍运用,干法刻蚀中ICP等离子刻蚀技术(Inductively Coupled Plasma Reactive Ion Etching System)使用最广。ICP等离子刻蚀次要经过离子束高速轰击以完成相应的物理和化学反响,具有刻速快、选择比高、各向异性高、刻蚀损伤小、大面积平均性好、刻蚀断面轮廓可控性高和刻蚀外表平整润滑、合适大面积基片刻蚀等一系列优点。日本日立高科公司次要供给干法刻蚀设备(包括硅刻蚀机、氧化层刻蚀机、非挥发性资料刻蚀机),DNS迪恩士公司开发高精度肃清附着于晶圆斜面(端面及其邻接倾斜局部)的金属膜的蚀刻清洗技术Bevel Etching Chamber(BEC),爱德万测试公司次要提供电子束蚀刻零碎;英国的牛津仪器和Quorum Technologies公司,美国的Torr、LEEMAN和KLA-Tencor公司次要消费提供ICP等离子刻蚀零碎。国际以中芯国际为代表的Foundry晶圆厂运用的是7nm等离子刻蚀机,落后国际先进程度2-3个世代,最先进的ICP刻蚀机依然被国外公司垄断,但国际设备制造商正在努力寻觅打破口,国际指导企业南方华创已自主研收回14nm等离子硅刻蚀机,同时中微半导体将在往年年底将正式敲定5nm刻蚀机台。

内涵工艺:内涵(Epitaxy)是在单晶硅片衬底上生长出一层单晶膜的技术,重生单晶膜以衬底硅为根底、按衬底单晶晶相延伸生长,重生长的一层单晶硅被称为内涵层。内涵工艺可以分为气相内涵(VPE)、液相内涵(LPE)、固相内涵(SPE)、分子束内涵(MBE)四大类别,其中气相内涵工艺在集成电路制造进程中较为罕见,分子束内涵工艺可以消费超薄单晶硅内涵层,也被普遍使用于芯片制造。

气相内涵炉:为气相内涵生长提供化学气相堆积的反响炉,完成按衬底单晶晶相延伸生长的重生内涵层。气相内涵实践上是化学气相堆积(CVD)的一种特殊工艺,特征在于其生长的单晶构造与原单晶硅片衬底晶相坚持互相对应的关系。国际次要的气相内涵炉制造商包括美国的CVD Equipment使用资料公司。以中国电子科技集团第四十八所为代表的国际企业大多消费制造液相内涵炉,而液相内涵层外表内涵形貌普通不如气相内涵好。

分子束内涵零碎:分子束内涵零碎(Molecular Beam Epitaxy, MBE)也消费按衬底外表特定形貌生长的内涵层,经过准确控制束流强度,调整膜层组分和掺杂浓度,从而制备薄到几十个原子层的单晶薄膜,以及交替生长不同组分、不同掺杂的薄膜而构成的超薄层量子显微构造资料。供应次要的分子束内涵零碎供给商包括美国的Veeco和SVT Associates公司、芬兰的DCA Instruments公司、法国的Riber公司、德国的Omicron和MBE-Komponenten公司,国际次要供给商有沈阳中科仪器。但是,国际制造商距国外先进设备还有很大的差距。以美国Veeco公司GENxplor R&D MBE零碎为例,该设备极限温度可达1850℃以上,而沈阳中科基片加热最低温度为800℃±1℃。此外,Veeco GENxplor R&D还拥有众多电子束来源、比普通MBE设备节省约40%的空间等分明优势。

#p#分页标题#e#

氧化炉:氧化炉是前道环节中的不可短少的重要消费设备,用于半导体资料的低温氧化,提供高效的氧化反响腔,使得硅片外表在低温下与氧化剂发作反响,生长一层二氧化硅膜。半导体氧化炉可分为传统卧式氧化炉和立式氧化炉,立式氧化炉在消费功能、温度控制功能、成膜平均功能、先进颗粒控制技术、工厂自动化接口等方面愈加准确高效,可以更好满足大尺寸硅片的消费要求。国际次要的氧化炉消费制造商包括德国的Centrotherm thermal solutions GmbH Co.KG公司、英国的Thermco公司、美国的Air Products和BTU International公司、日本的东京电子公司。经过多年的尝试与努力,卧式氧化炉国产率已逐渐提升,根本完成国产替代,接近国际先进程度,但是在立式氧化炉环节,国际研讨起步晚、技术程度较落后,总体而言,目前国际企业与国际著名制造商在立式氧化炉设备上存在较大差距。国际最具代表性的氧化炉设备提供商就是南方华创,南方华创建式氧化炉的呈现,打破了持久以来的国外垄断场面,推进了国际半导体事业的蓬勃开展。

CVD设备:化学气相沉淀设备(Chemical Vapour Deposition)应用化学气相沉淀方式,将气体引入反响室内消费固体产物,并堆积在基片外表构成薄膜。在衬底外表发作化学反响生成半导体薄膜。CVD设备可分为常压化学气相淀积(APCVD)、高压化学气相淀积(LPCVD)和等离子体加强化学气相淀积(PECVD)设备,三者化学反响方式不同,其中APCVD设备在大气压下停止,设备零碎和原理极端复杂,只适用于较厚的介质堆积,无法满足制造半导体薄膜资料的技术需求;LPCVD设备LPCVD应用加热的方式发生高压环境,而PECVD设备应用辉光放电的方式发生薄膜堆积。国际次要的LPCVD设备制造商包括日本日立国际电气公司,次要的PECVD设备制造商包括荷兰的阿斯麦公司、美国的诺发零碎半导体、使用资料、泛林半导体和Proto Flex公司、日本的Tokki和Shimadzu岛津公司等。国际,可以消费满足LPCVD和PECVD技术要求的设备制造商屈指可数,与国外企业相比依然存在较大技术差距。南方华创于1976年研制开收回国际第一台LPCVD设备,目前是国际最成熟的LPCVD设备供给商,其LPCVD设备已成功进入集成电路主流代工厂。

PVD溅射设备:物理气相堆积设备(Physical Vapor Deposition,PVD)在芯片制造进程中也有着无足轻重的位置,次要应用物理景象停止薄膜堆积,可分为真空蒸发镀膜(电阻/感应/电子束/激光加热)、溅射镀膜(直流/频射/磁控/离子束溅射)、离子镀膜(直流电弧/空心阴极/等离子体离子镀)三大局部,集成电路制造进程中次要采用溅射设备与工艺。PVD溅射设备次要用于金属资料制膜,在PVD设备中用离子对靶资料停止轰击,使得靶材中的金属原子以一定能量逸出,从而在晶圆外表堆积,溅镀构成金属薄膜。国际次要的PVD设备制造商包括美国的PVD、 Vaportech公司、使用资料公司,荷兰的Hauzer公司、英国Teer公司、瑞士Platit和Balzers公司、德国的Cemecon公司。国际次要的PVD设备供给商包括南方华创、沈阳中科仪器、中国电子科技集团第四十八所等,其中南方华创28纳米Hardmask PVD、Al-Pad PVD设备已率先进入国际供给链体系。

半导体设备国外次要供给商:

使用资料(AMAT.N):使用资料(Applied Materials)成立于1967年,是全球最大的半导体设备消费和效劳提供商,消费提供Centura RP Epi内涵零碎(300mm硅片)、离子注入零碎、氧化/氮化零碎、物理堆积(PVD)设备、化学堆积(CVD)设备、CMP设备、刻蚀零碎、清洗设备等。使用资料公司于1984年进入中国,目前在上海、北京、天津、苏州、无锡等地有办事处或仓库,在西安设有太阳能开发中心。

依据其2016财年年报提供的数据,半导体部门2014-2016财年新订单金额辨别为61.32亿、65.81亿、72.89亿,其中2016年同比增长59%;净销售额辨别为59.73亿、61.35亿、68.73亿,其中2016年同比增长64%。2016财年公司新订单完成片面性的增长,次要得益于显示屏和半导体设备的需求量添加,尤其是半导体部门的新订单,持续占公司新订单的大局部,占比高达58.7%。半导体消费设备B/B值为1.0、1.1、1.1。依据不同区域半导体设备销售状况,2014-2016财年中,公司半导体部门在中国台湾地域销售占比最高,历年销售额辨别为21.86亿、19.82亿、21.65亿、12.79亿美元,占全体销售37%、32%、32%。

#p#分页标题#e#

泛林半导体(LRCX.O):泛林半导体(Lam Research)成立于1980年,为半导体产业提供晶圆制造设备和效劳,努力于消费、销售和维修制造集成电路时运用的半导体处置设备,次要提供单晶圆薄膜堆积零碎、等离子刻蚀零碎和清洁零碎与设备。在CVD、HDPCVD、ECD和PVD设备销售市场上,公司次要的竞争对手是使用资料;在PECVD市场上,公司次要的同行业竞争者是使用资料、阿斯麦和Wonik IPS;在蚀刻设备销售市场上,公司次要的竞争对手是东京电子和使用资料;在单晶片清洗设备销售市场上,公司次要的竞争对手是DNS迪恩士、东京电子和Semes公司。公司经过并购方式不时加强竞争优势:2012年6月,公司完成与Novellus Systems诺发零碎兼并;2015年10月21日,公司宣布斥资106亿美元,以现金加股票的方式收买同业竞争公司科磊半导体(KLA-Tencor)。

公司为全球著名的半导体制造商提供效劳,镁光科技、三星电子、SK海力士等为公司的次要客户,2016财年的订单均占公司销售支出的10%以上。2014-2015财年中,韩国半导体设备销售额位居第一,占全体销售比例为24%和27%;中国台湾地域销售额高达14.85亿美元,同比增长34.5%,反超韩国地域销售额跃居首位,占比25%。由于中国大陆半导体产业的疾速开展, 2016财年,中国大陆成为泛林半导体设备销售的第二大市场。

阿斯麦(ASML.O):阿斯麦(ASML Holding NV)成立于1984年,成立之初运用的公司称号为ASM Lithography Holding N.V.,2001年改为ASML Holding NV,次要设计、制造及销售半导体设备,同时包括前道和后道半导体设备。公司设计消费的前端设备产品次要包括内涵反响器、垂直分散炉、PECVD反响器、集束型设备、原子层堆积设备、等离子体加强原子层堆积(PEALD)设备等,其中最关键的中心技术与产品为高端光刻机和曝光机。

阿斯麦研发作产的TWINSCAN系列光刻机是目前世界上精度最高、消费效率最高、使用最为普遍的高端光刻机,该机型的销售客户包括英特尔、三星电子、SK海力士、台积电、联华电子、格罗方德等。目前适用于工业化量产的最先进的机型为TWINSCAN NXE:3400B,每小时消费不少于125片(WPH)12寸芯片,运用13.5nm EUV极紫外光停止光刻,属于EUV(极紫外线光刻)光刻机,可消费关键技术节点为7nm和5nm的芯片。

TWINSCAN XT浸入式光刻零碎市场替代竞争加剧,次要竞争公司包括尼康和佳能;公司与软件使用顺序提供商之间也存在同业竞争,如KLA-Tencor科磊公司。

东京电子(8035.T):东京电子(Tokyo Electron Limited)成立于1936年,是一家次要从事制造和销售工业用电子产品的公司,下分显示、半导体、电子信息三个部门。半导体制造设备部门努力于提供等离子体蚀刻设备、热处置零碎、涂布机、显影机、单晶硅片堆积零碎、清洗零碎、晶圆探针零碎等。

由于市场对存储器芯片(尤其是DRAM和NAND)的波动需求,内存制造厂商的资本投资迅速增长,从而不时促进DRAM规格小型化和3D构造新型NAND存储芯片的降生。除了受惠于3D NAND Flash投资热潮外,还有汇率利多要素,促使以东京电子和DNS迪恩士公司设备销售额同比增长12.4%和41.5%。

半导体部门2016财年营收6130亿日元,同比增长6.39%,占财团全年营收92.3%,估计2017年营收可达7498.93亿日元,同比增长21%。按不同地域半导体设备销售状况剖析,2016财年中,公司半导体部门在中国台湾地域销售占比最高,占比30%,其次是美国。

东京电子的目的是外行业内完成世界一流的盈利才能。现假定2020财年,公司硅晶圆设备市场规模到达370亿美元,并且2020财年营业利润率增长至25%,ROE增长至20%。鉴于半导体设备销售市场的变化一日千里,保守估量2020财年,公司硅晶圆设备市场规模可达300亿美元,营业利润率和ROE可辨别维持在20%和15%的程度。

半导体设备国际次要供给商:

#p#分页标题#e#

中微半导体:中微半导体次要提供刻蚀零碎,自主研发Primo D-RIE、Primo AD-RIE、Primo TSV、Prismo D-BLUE等各类型号刻蚀机。公司一切刻蚀机都拥有本人的专利创新技术,设备产出量高,功能表现优良;独有新型的小批量多反响器零碎使得公司的刻蚀零碎与同类产品相比消费率进步了50%以上,加工每片芯片的本钱均匀节省35%。

Primo D-RIE:300毫米甚高频去耦合反响离子刻蚀设备,用于加工64/45/28nm氧化硅(SiO)、氮化硅(SiN)及低介电系数(low K)膜层等不同电介质资料。高消费率、高功能的小批量多反响器零碎可以灵敏地安装多达三个双反响台反响器,以到达最佳芯片加工输入量;每个反响器都可以完成单芯片或双芯片加工。

Primo AD-RIE:用于流程前端(FEOL)及后端(BEOL)关键刻蚀使用的第二代电介质刻蚀设备,次要用于22nm及以下的芯片刻蚀加工。Primo AD-RIE采用了具有自主知识产权的可切换低频的射频设计,优化了上电极气流散布及下电极温度调控的设计,已成功经过3000片晶片马拉松测试。Primo AD-RIE具有优越的制造波动性,将晶片上关键尺寸平均度控制在2nm内。

Primo TSV 200E: 8英寸硅通孔(TSV)刻蚀设备用于8英寸晶圆微电子器件、微机电零碎、微电光器件等的封装。Primo TSV 200E拥有双反响台反响器,既可以独自加工单个晶圆片,又可以同时加工两个晶圆片,还可装置多达三个双反响台的反响器,单位晶圆片产出量相比市场均匀程度进步约100%。

Prismo D-Blue:可包容至少4个反响堆,可同时处置至少216个2英寸晶圆,并将延伸至4、6和8英寸晶圆消费。每个反响器都可以独立控制,可以以并行或延续的方式停止处置。多反响堆Prismo D-Blue比单反响器刻蚀设备体积小30%,更契合晶圆片工业化量产的需求。

3.3、 后道设备:国产替代打破口,封测设备具有较强竞争力

在半导体产业链中,我国封装测试业开展最早、最为成熟,相较晶圆制造设备和前道设备制造产业,封测业是最具有国际竞争力的环节,即使如此,国际封装测试企业关于高程度的国产封装测试设备需求仍然较大。

封装环节所需设备:

划片机:划片机(Wafer Dicing Saws)对晶圆停止划片任务,在贴膜后对晶圆停止切割,同时自动清洗设备,防止切割进程中发生的粉尘和残渣的影响。国际次要的划片机制造商包括日本的DISCO和Tokyo Seimitsu东京精细公司。

粘片机:粘片机(Die Bonder)停止粘片任务,用银浆将芯片停止粘贴,方便硅晶圆片散热,并且具有良好的导电性。国际次要的粘片机消费商包括荷兰的ASM Pacific和BESI公司、日本的佳能公司。

丝焊机:丝焊机(Wire Bonder)停止引线压焊任务,将焊接面和焊线停止摩擦,在保证焊接面润滑的状况下完成焊接任务。国际次要的丝焊机消费商包括荷兰的ASM Pacific公司、美国的Kulicke&Soffa公司、日本的Shinkawa新川公司。

成型机:又称模塑机(Molding/Encapsulation),次要停止塑封工艺,即先停止合模加压,然后塑封固化。国际次要的成型机设备制造商包括日本的Towa和Dai-ichi Seiko第一精工公司、荷兰的BESI公司。

切割机:半导体封装元件切割机(Package Singulation),应用相关设备将芯片成品停止切割。国际次要的切割机制造商包括荷兰的ASM Pacific公司、日本的DISCO公司和韩国的Hanmi Semiconductor韩美半导体公司。

IC测试分类机:IC测试分类机(Test Handler)次要停止测试包装任务,将芯片产品停止电性测试,区分出合格品和不合格品,然后将合格品停止封装。国际次要的IC测试分类机制造商次要包括日本的爱德万测试和Tesec公司。

测试环节所需设备:

测试机:检测芯片功用和功能的公用设备,经过对芯片施加输出信号,采集被检测芯片的输入信号与预期值停止比拟,判别芯片在不同任务条件下功用和功能的无效性。国外次要的测试机制造商包括美国的泰瑞达和Xcerra公司、日本的爱德万测试。

分选机和探针台:将芯片的引脚与测试机的功用模块衔接起来并完成批量自动化测试的公用设备。在设计验证和成品测试环节,测试机需求和分选机配合运用;在晶圆检测环节,测试机需求和探针台配合运用。国外次要的分选机制造商由日本的爱德万测试,探针台制造商次要有日本东京电子。

#p#分页标题#e#

全体而言,我国半导体前道设备与美国、日本、荷兰等兴旺国度相比还相差很大。虽然在后道封装检测设备环节具有一定的竞争力,但是依然存在一定差距,高程度的国产封装测试设备需求仍然较大,国产化也还需求很长工夫。由于我国半导体设备制造消费线普遍不成熟,产品良率普遍不高,为保证消费的平安性和效率,绝大少数厂商会运用顶级出口设备,待工艺成熟,出货波动后再逐渐停止国产化替代,下游设备国产化任重道远。

半导体封装测试设备国外次要供给商:

DISCO(6146.T):Disco Corporation次要制造销售半导体制造设备和精细加工工具,拥有三大业务运营部门:精细加工零碎部门、工业磨削部门和切割产品部门,其中精细加工部门次要消费和销售精细加工设备的部件,如切割锯、激光锯、磨床、抛光机、干蚀刻机、外表刨床、切割刀片等。公司在世界半导体切割和研磨设备市场的份额高达70%,拥有世界一流的Kiru(切)、Kezuru(削)、Migaku(磨)技术及相关设备,Kiru技术可将人体头发丝切割为30份,Kezuru技术可将资料浓缩至5微米(复印纸厚度为100微米),Migaku技术经过镜面抛光不时进步资料硬度。

依据其2016财年年报提供的数据,2016财年订单金额总额为1267.78亿日元,销售总额为1278.5亿日元,完成净利润200.67亿日元。公司销售额的增长次要依托精细加工设备的销售,其中切割机销售额占全体36%,除了销售供给日本本国半导体制造企业,中国大陆和中国台湾地域企业成为次要的销售对象。

ASM Pacific(0522.HK):公司是全球最大的半导体和发光二极管行业的集成和封装设备供给商之一,次要产品包括金线及铝线焊接机、管芯焊机、IDEA Line自动化设备、晶积度焊珠距阵别离零碎、后塑封设备、高精准之激光二极管焊机等。目前,活动安装(包括智能手机及平板计算机)持续成为集团业务的次要驱动力,LED普通照明是将来市场严重的增长驱动力,新收买的SMT业务是集团之营业额及盈利的次要增长驱动力。

2016财年,公司业绩表现微弱:公司支出创新高达18.4亿美元,同比增长9.8%;完成盈利14.4亿港币,同比添加50.9%;后工序设备业务支出为9.305亿美元,同比增长23%;新增订单总额为18.8亿美元,同比增长9.1%。

爱德万测试(6857.T):爱德万测试(Advantest Corporation)成立于1954年,次要从事大规模集成电路自动测试设备及电子测量仪器的研发、制造、销售和效劳,在集成电路自动测试设备方面,次要消费提供SoC、模仿信号、存储器、增强型混合信号测试零碎,以及分选机等。公司于1993年正式进入中国市场,目前在北京、上海、苏州等地拥有分公司。

依据公司年报提供的数据,2016财年销售额为1559亿日元,延续两年出现微调下跌趋向;与此同时,公司盈利才能大幅度提升,完成139亿日元和142亿日元的营业利润和净利润,净利润同比增长119%;海内销售市场比例稍微下降,总体维持90%的占比规模。公司销售额次要依托半导体与元件测试零碎部门,销售额占公司全体销售规模65%,其中半导体与元件测试部门73%的销售支出次要依托非存储芯片测试带来的支出。但是,依据公司年报预测,2017年智能手机库存量将会停止调整,逻辑芯片需求量将出现分明下降趋向,因而公司预测在2017财年,非存储芯片测试支出将下降至680亿日元,同比增加8.2%;同时由于3D NAND和DRAM存储器芯片市场需求激增,将安慰存储芯片测试业的开展与晋级,因而公司预测在2017财年,存储芯片测试支出将激增至440亿日元,同比增长61.8%。

2016财年公司新订单完成8.7%的增长,次要得益于半导体与元件测试零碎部门下存储芯片测试新订单的迸发性增长,2015财年和2016财年新订单金额辨别为190亿日元和356亿日元,同比增长87.4%。依据不同区域半导体设备销售状况,2015~2016财年中,公司半导体部门在中国台湾地域销售占比最高,历年销售额辨别为464亿和466亿日元,占全体销售29%和29.9%;北美地域销售市场由296亿日元缩水至148亿日元,缩水50%,销售占比也由18%下降至9.5%。

#p#分页标题#e#

泰瑞达(TER.N):泰瑞达(Teradyne)成立于1960年,消费半导体自动测试机器设备(Automatic Test Equipment,ATE),在零碎整合芯片的元件测试市场中市占率极高。公司次要客户为国际外著名电子企业,包括托罗拉、飞利浦半导体、德州仪器、Cisco、3Com、中芯国际、ChipPac、华为、贝岭等。2016财年,公司半导体测试部门客户中国台湾地域半导体制造无限公司和JA日本三井租赁无限公司辨别占公司综合支出的12%和12%;2015财年,JA三井租赁占综合支出的13%。公司半导体测试部门竞争对手次要有Advantest爱德万测试公司和Xcerra公司。

依据其2016财年年报提供的数据, 2014-2016财年销售额辨别为16.48亿、16.4亿、17.53亿美元,净利润辨别为0.81亿、2.06亿、0.43亿美元;半导体消费设备B/B值为1.0、2.0、1.9。依据不同区域半导体设备销售状况,公司半导体测试设备在中国台湾地域销售占比最高,占全体销售37%。

半导体封装测试设备国际次要供给商:

长川科技(300604.SZ):公司次要为国际外集成电路封装测试龙头企业提供测试机和分选机,如长电科技、华天科技、通富微电、日月光。2013年以来,公司承当了国度科技严重02专项“通讯与多媒体芯片封装测试设备与资料使用工程”中“高压大电流测试零碎”和“SiP吸放式全自动测试分选机”两项课题的研发任务,其中“高压大电流测试零碎”项目已经过长电科技、通富微电的认证,“SiP吸放式全自动测试分选机”项目适用于QFP、QFN、BGA等中高端封装外型芯片的测试分选,已经过长电科技的验证,并完成批量销售。

公司消费的测试机包括大功率测试机(CTT系列)、模仿/数模混合测试机(CTA系列)等;分选机包括重力下滑式分选机(C1、C3、C3Q、C37、C5、C7、C8、C9、C9Q系列)、平移式分选机(C6、C7R系列)等。全球先进测试设备制造技术根本掌握在美国、日本等集成电路产业兴旺国度厂商手中,市场集中度高,国际外市场仍次要由美国泰瑞达(Teradyne)、日本爱德万(Advantest)、美国安捷伦(Agilent)、美国科利登(Xcerra)和美国科休(Cohu)等国际知名企业所占据;以长川科技和北京华峰为代表的多数外乡企业测试设备产品高质量、低本钱的测试产品已进入国际封测龙头企业的供给商体系,正努力完成出口替代。公司测试机和分选机产品次要功能目标均已达国际抢先、接近国外先进技术程度:以测试机CTA8280 型号为例,与同类型的泰瑞达ETS88在关键目标电压精度、电流精度和工夫精度之间停止比照;以分选机C6430 型号为例,与同类型的爱普生NS-8040SH在关键目标UPH、Jam Rate、Test Force之间停止比照:

4

受害标的

4.1、 精测电子(300567):面板检测高速增长,半导体检测振翅欲飞

国际面板检测设备龙头,充沛受害下游行业大开展。精测电子是国际面板检测设备龙头,是行业内多数几家可以提供平板显示三大制程检测零碎的企业。公司技术开展道路方面思绪明晰,加之技术研发投入力度大,中、前段设备将会不时优化,满足下游客户需求才能不时增强。在LCD产能不时向大陆转移和OLED建立大浪潮下,公司将会充沛受害于平板检测设备国产替代大趋向。

LCD产业继续景气,2018年OLED迸发在即。以后,全球平板显示产业集中在韩国、中国台湾地域、日本和中国大陆,近年来全球LCD面板产能的增长次要来自中国,产业不时向中国大陆转移。依据我们统计数据,2017-2019年国际LCD产线投资额辨别为1160/1020/1325亿元,对应的LCD面板检测设备需求空间为65.0/57.1/74.2亿元。OLED方面,2017年苹果推出的iPhoneX采用OLED屏幕曾经极大减速中小尺寸AMOLED产业化进程。目前,韩厂简直占据全部柔性OLED产能,京西方柔性OLED量产,拉开了中国企业打破韩国企业垄断位置的尾声,到2020年,中国大陆将会成为除韩国以外OLED产能最大的地域。依据我们测算数据,2017-2019年国际OLED产线投资额辨别为575/1272/1284亿元,对应的OLED检测设备需求空间为48.3/106.8/107.9亿元。

#p#分页标题#e#

携手IT&T切入半导体检测范畴,翻开生长新空间。IT&T是韩国三星、SK海力士的主力供给商之一,具有丰厚的存储器检测经历,与IT&T协作,有助于放慢公司在半导体范畴的产业规划。以后,国际半导体产线投资风起云涌,依据我们的测算数据,国际曾经发布的半导体产线投资金额将超越1000亿美元,对应的半导体检测设备市场规模高达72亿美元,其中,存储器检测设备需求空间约为20亿美元,市场空间宏大。

2018年无望持续完成高速增长,维持“激烈引荐”评级。估计公司2018-2020年完成净利润辨别为2.85/4.16/6.25亿元,同比辨别增长71%/45.8%/50.2%,EPS 辨别为3.49/5.08/7.64元。我们以为公司作为国际面板检测设备龙头,2018年将会充沛受害于平板检测设备国产替代,维持“激烈引荐”评级。

4.2、 南方华创(002371):国际半导体设备龙头

公司在国际半导体设备范畴处于抢先位置。公司是目前国际集成电路高端工艺配备的龙头企业,消费提供等离子刻蚀设备、PVD设备、CVD设备、氧化/分散设备、清洗设备、新型显示设备、气体质量流量控制器等,是中国最大的电子配备消费基地和高端电子元器件制造基地。

减速迈入先进工艺制程,集成电路制造设备率先进入国际供给链体系。公司14nm等离子硅刻蚀机已交付客户,28nm Hardmask PVD、Al-Pad PVD设备已率先进入集成电路主流代工厂,12英寸清洗机累计流片量已打破60万片大关,深硅刻蚀设备成功进入西北亚市场。在先进封装范畴,公司刻蚀机和PVD设备已在全球次要企业中失掉普遍使用,其中PVD机台已成为全球排名前三的CIS封装企业的首选机台。

半导体高端工艺配备成为次要营收支柱,以期推进设备国产化进程。2016财年,公司营业支出为16.22亿元,同比增长89.93%;完成净利润0.93亿,同比增长46.67%;公司半导体设备营业支出由5.21亿元增长到8.13亿元,比上年同期增长56.18%,占公司销售支出50.1%,构成公司营业支出的次要来源。公司2016财年营业支出完成跨越式的开展,次要得益于资产重组,重组后的南方华创微电子是目前国际独一以集成电路高端工艺配备为主营业务的上市公司,公司已推出了片面市场化的高端集成电路消费设备产品,逐渐完成出口替代。

4.3、 长川科技(300604):国际抢先的半导体测试设备供给商

国际抢先的半导体测试设备提供商。公司是国际为数不多的可以自主研发、消费集成电路测试设备的企业,次要为集成电路封装测试、晶圆制造、芯片设计企业等提供测试设备。目前,公司消费的集成电路测试机和分选机产品已取得长电科技、华天科技、通富微电、士兰微、华润微电子、日月光等多个一流集成电路企业的运用和认可。2015年7月,大基金入股,持有公司571.52万股,占比7.5%,大基金保驾护航,有利于提升公司可继续开展才能。

国际集成电路产业景气度高,公用设备市场继续向好。以后,亚太地域(除日本)曾经成为全球半导体市场增长最为迅猛的区域,2000年亚太地域(除日本)半导体市场规模占比为25.10%,到2016年该比例迅速提升至65.4%,中国市场曾经成为推进亚太地域(除日本)开展的重要推进力。我们以为受晶圆厂建立热潮推进,中国半导体配备投资热潮将在2018年显现。依据SEMI预测数据,2018年中国半导体配备市场的规模将增至86亿美元,跃居全球第二,而全球的半导体配备到2018年将到达540亿美元的出货量,进入超级景气周期。

国际封测行业最具国际竞争力,为外乡测试设备制造业带来更大的市场空间。测试设备市场需求次要来源于下游封装测试企业、晶圆制造企业和芯片设计企业,其中又以封装测试企业为主,外乡封装测试龙头企业经过海内并购整合等方式,从规模、渠道和技术实力等方面片面提升全体竞争力,曾经成为我国集成电路产业链中最具竞争力的环节,再加上我国集成电路产业规模的不时扩展以及全球产能向我国大海洋区转移的放慢,集成电路各细分行业对测试设备的需求将不时增长,国际集成电路测试设备市场需求空间宏大。

4.4、 晶盛机电(300316):国际硅消费设备龙头,半导体设备大有可为

#p#分页标题#e#

国际硅消费设备龙头。公司是国际首家独一自主研制成功全套单晶炉设备的供给商,独一具有提供三项设备的供给商,公司延续承当了两项国度严重专项。公司产品次要使用于光伏、半导体以及LED范畴。

半导体硅片供给关系半导体产业平安,公司无望深度受害。半导体是国度战略,在国度政策、产业基金的鼎力推进下,半导体行业将迎来景气周期。半导体硅片是半导体制造范畴的关键资料之一,同时也是中国集成电路产业链中的一个短板。而从2016年开端,硅片价钱一路下跌,且供不应求,招致中国一些新建或许中小型晶圆企业堕入产能开出却无硅片可用的为难场面,进步硅片的供给才能正变得刻不容缓。目前中国各地曾经衰亡建立硅片消费厂的浪潮,是国际独一完成半导体级产品批量供货的硅生长炉企业,是最直承受益的设备厂商之一,公司无望深度受害。此外,公司与天津中环、无锡市政府签署协作协议,共同建立半导体用大硅片项目,将来前景十分值得等待。

光伏行业景气度高,下游厂商不时扩产。我国光伏规模继续增长,局部行业龙头的产能扩展、技术提高及行业单晶比例的逐渐提升,散布式的迸发式增长,给下游配备行业带来了较高的景气度。公司是国际多数几家具有单晶炉大规模制造才能的企业之一,公司与中环光伏、晶澳新动力行业龙头、大型、优质客户停止亲密协作,公司光伏板块业绩将会大幅受害于下游厂商的扩产,继续向好。

5

风险提示

国际半导体产线投资力度和进度不及预期。